基于FPGA的DDS数字调频系统仿真研究
王培元 ,孙南海
(襄樊学院 物理学系,湖北 襄樊 441053)
摘要:基于DDS技术设计了一种具有调频能力的正弦波信号发生器,并用ALTERA公司的ACEX 1K现场可编程门阵列予以实现. 实测结果表明,所讨论的方法和研制的系统是可靠的和可行有效的.
关键词:直接数字频率合成器;现场可编程门阵列;数字调频
中图分类号:TN702 文献标志码:A 文章编号:1009-28(2006)05-0076-04 直接数字频率合成器(DDS)[1]由于频率分辨率高,输出频点多、频率切换速度快、频率切换时相位连续、可以输出宽带正交信号、可以产生任意波形、全数字化实现,便于集成,体积小,重量轻,为各种电子系统提供了优于模拟信号源性能的高质量频率源,是目前应用最广泛的频率合成器之一.
1 DDS数学原型
一个频谱纯净的单频率信号可表示为:u(t)=Usin[2πf0t+θ0] (1) 只要幅度U和初始相位θ0不随时间变化,是常数,它的频谱就是位于fo的一条谱线. 为分析方便, 设u=1,即:u(t)=sin2πf0t (2) θ0=0,
这种单频信号的相位是时间的线性函数,即:θ(t)=2πf0t (3) 相位函数对时间的导数是常数:dθ(t)dt=2πf0 (4) 它就是信号的频率. 改变相位函数斜率可以得到不同的频率.
u(n)=sin2πf0nTC (5) 如果对式(2)信号进行采样,采样周期为Tc. 可得离散的波形序列:
相应的离散相位序列: θ(n)=Δθ⋅n (6)
(7) (6)式中,Δθ=2πf0
fc是连续两次采样之间的相位增量.
现将整个周期的相位2π分割为M等份,每一份: δ=2πM (8) 为可选择的最小相位增量.
若每Tc(采样周期)相位增量为δ,此时相位增长的斜率最小,得到最低输出频率:
fomin=δTC
=2πMTC
=
fc
M (9)
若每Tc相位增量取δ的F倍,则所得信号频率为:fo=FδTC
=FMfc (10)
F
ft) (11) Mc
经D/A转换器和低通滤波器后相应的模拟信号为:u(t)=sin(2π2 基于FPGA的DDS数字调频系统
根据上述原理,基本DDS的组成如图1所示.
收稿日期:2006-05-20
作者简介:王培元(1973- ), 男, 湖北宜城人, 襄樊学院物理学系讲师.
王培元,孙南海:基于FPGA的DDS数字调频系统仿真研究
DDS主要由四个基本部分组成[4]:(l)相位累加器;(2)波形ROM;(3)D/A转换器;(4)低通滤波器. 波形ROM存储的是正弦波采样后的二进制数据,相位累加器实现对ROM地址偏移量控制,即单位时间内相位变化量,控制波形的频率;D/A转换器和低通滤波器滤出镜像干扰,将离散的波形变成连续的波形.
频率控制字 相位累加器 fc 波形ROMD/A转换器低通滤波器 输出 图1 基本DDS频率合成原理图频频率控 制字 率控制 24 相位累加模块 调频指数2 加法器 24 加法器 24 寄存器24加法器12寄相位—幅度编码 (正弦波ROM:1024×10Bit) D/A 转换器 低通滤波器 相位-幅度编码模块 相位控制字相位控制模块 24 调频控制 8 音频数字信号输入存器12频率调制模块 图2 系统组成框图 图3 系统的原理图在上述原理基础上,设计了一个载波在10~15MHZ之间变化,频率分辨率小于3HZ;调频指数可变;最大频偏大于10KHZ的调频系统,所设计的系统组成如图2所示. 在频率控制下,载波的频率在10~15MHZ之间以2.98HZ步进,频率调制模块实现对调频指数和调频频偏的控制;利用FPGA内带的嵌入式阵列块(EAB)做波形ROM,读取速度快,使用灵活. ROM存储的是(0~
π)期间的正弦波数据,利用相位——幅度编码器
2
77
第27卷第5期 襄樊学院学报 2006年第5期
恢复(0~2π)期间的正弦波数据,这样可以在不增加ROM容量的情况下大大降低合成波形的噪声[3].
3 系统的VHDL描述
VHDL是广泛使用的一种高级硬件描述语言[2],借助MAX+plusⅡ实现了对系统的文本输入、编译综合、编程下载,并在FPGA中实现了系统所需要的数字电路. 所得到的系统原理图如图3所示,
FWORD[23..0]是频率控制字,决定载波的频率;MF[1..0]是调频指数控制字,决定调频指数;AWORD[7..0]是音频二进制数据,对载波进行调频;FOUT[10..0]是调频波输出的二进制数据.
调频指数控制和音频数字调频;xwbmq模块主要实现相位编码;Pword模块主要实现载波频率范围控制、
jxbmq模块主要实现幅度编码. 在设计中,为了提高系统的速度,对加法器和累加器进行了优化处理,使系
π统的速度提高了三分之一. 同时对(0~)期间的正弦波第一个采用点进行了修正,保证合成的(0~2π)期间2的正弦波对称.
其中的频率调制模块的源程序如下所示: library ieee;
use ieee.std_logic_11.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity pltz24 is
port(mf: in std_logic_vector(1 downto 0); AWORD: in std_logic_vector(7 downto 0); mout :out std_logic_vector(23 downto 0)); end pltz24;
architecture behav of pltz24 is begin
process(mf,AWORD)
variable m : std_logic_vector(23 downto 0); variable s : std_logic_vector(23 downto 0); begin
case mf is --mf调制指数 when\"00\"=>if AWORD(7)='1'then m(9 DOWNTO 3):=AWORD(6 downto 0); m(2 downto 0):=\"000\"; m(23 DOWNTO 10):=\"00000000000000\" ;
s:=m; --调频波频率比载波频率大 elsif AWORD(7)='0' then m(9 DOWNTO 3):=not AWORD(6 downto 0) ; m(2 downto 0):=\"000\"; m(23 DOWNTO 10):=\"00000000000000\" ;
s:=1+not m; --调频波频率比载波频率小 else null; end if;
when\"01\"=>if AWORD(7)='1' then m(10 DOWNTO 4):=AWORD(6 downto 0) ; m(3 DOWNTO 0):=\"0000\" ; m(23 DOWNTO 11):=\"0000000000000\" ; s:=m;
elsif AWORD(7)='0' then m(11 DOWNTO 5):=not AWORD(6 downto 0) ; m(3 DOWNTO 0):=\"0000\" ; m(23 DOWNTO 11):=\"0000000000000\" ; s:=1+not m; else null; end if;
when\"10\"=>if AWORD(7)='1' then m(11 DOWNTO 5):=AWORD(6 downto 0) ; m(4 DOWNTO 0):=\"00000\"; m(23 DOWNTO 12):=\"000000000000\"; s:=m;
elsif AWORD(7)='0' then m(11 DOWNTO 5):=not AWORD(6 downto 0) ; m(4 DOWNTO 0):=\"00000\"; 78
王培元,孙南海:基于FPGA的DDS数字调频系统仿真研究
m(23 DOWNTO 12):=\"000000000000\"; s:=1+not m; else null; end if;
when\"11\"=>if AWORD(7)='1' then m(12 DOWNTO 6):=AWORD(6 downto 0) ; m(5 downto 0):=\"000000\"; m(23 DOWNTO 13):=\"00000000000\" ; s:=m;
elsif AWORD(7)='0' then m(12 DOWNTO 6):=1+not AWORD(6 downto 0) ; m(5 downto 0):=\"000000\"; m(23 DOWNTO 13):=\"00000000000\" ; else null; end if; when others=>null; end case; mout<=s; end process; end behav;
图4是基本DDS仿真数据.
图4 基本DDS仿真数据4 结论
通过实际测试在低频情况下设计结果与理论值基本符合,达到了设计指标的要求. 限于本人的水平和实验条件,此次设计在最高输出频率、降低杂散等方面仍有改进的空间,今后还需进一步改善.
参考文献:
[1] 曾兴雯. 高频电子线路[M]. 北京: 高等教育出版社, 2004.
[2] 潘 松, 黄继业. EDA技术实用教程[M]. 北京: 科学出版社, 2002.
[3] 赵春晖, 杨树元. 调制信号波形任意的直接数字频率合成器的设计[J]. 微计算机应用, 2002 (7):15-17. [4] 陈风波, 冒 燕, 李海鸿. 基于FPGA的直接数字频率合成器设计[J]. 微计算机信息, 2006 (5): 197-199.
The Design of the DDS System of Digitized FM Based on FPG
WANG Pei-yuan, SUN Nan-hai
(Department of Physics, Xiangfan University, Xiangfan 441053, China)
Abstract::This paper introduces the design of the digitized frequency modulation of analog signals based on DDS technique. It is implemented by ALTERA ACXE 1K field programmable gate array. The results of experiments have proved that the technique and system in this paper are feasible and effective.
Key words:Direct digital frequency synthesis; Field programmable gate array; Digitized FM
79
因篇幅问题不能全部显示,请点此查看更多更全内容
Copyright © 2019- huatuo3.cn 版权所有 湘ICP备2023017654号-3
违法及侵权请联系:TEL:199 1889 7713 E-MAIL:2724546146@qq.com
本站由北京市万商天勤律师事务所王兴未律师提供法律服务